module test (); reg [7:0] a; initial begin a=1; $display("Hellow,world"); a=2; $display("Hellow,world"); a=3; $display("Hellow,world"); a=4; $display("Hellow,world"); end endmodule