module hexa (); wire [0:511] c={ //0 4'b_0_1_0_0, 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_0_1_0_0, 4'b_0_0_0_0, //1 4'b_0_1_0_0, 4'b_1_1_0_0, 4'b_0_1_0_0, 4'b_0_1_0_0, 4'b_0_1_0_0, 4'b_0_1_0_0, 4'b_1_1_1_0, 4'b_0_0_0_0, //2 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_0_0_1_0, 4'b_1_1_1_0, 4'b_1_0_0_0, 4'b_1_0_0_0, 4'b_1_1_1_0, 4'b_0_0_0_0, //3 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_0_0_1_0, 4'b_0_1_1_0, 4'b_0_0_1_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_0_0_0_0, //4 4'b_0_0_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_0_0_0_0, //5 4'b_1_1_1_0, 4'b_1_0_0_0, 4'b_1_0_0_0, 4'b_1_1_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_1_1_1_0, 4'b_0_0_0_0, //6 4'b_1_1_0_0, 4'b_1_0_0_0, 4'b_1_0_0_0, 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_0_0_0_0, //7 4'b_1_1_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_0_0_0_0, //8 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_0_0_0_0, //9 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_1_1_1_0, 4'b_0_0_0_0, //a 4'b_0_1_0_0, 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_0_0_0_0, //b 4'b_1_0_0_0, 4'b_1_0_0_0, 4'b_1_0_0_0, 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_1_1_0_0, 4'b_0_0_0_0, //c 4'b_0_0_0_0, 4'b_0_0_0_0, 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_0_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_0_0_0_0, //d 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_0_0_1_0, 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_0_1_0, 4'b_0_1_1_0, 4'b_0_0_0_0, //e 4'b_0_0_0_0, 4'b_0_0_0_0, 4'b_1_1_1_0, 4'b_1_0_1_0, 4'b_1_1_1_0, 4'b_1_0_0_0, 4'b_1_1_1_0, 4'b_0_0_0_0, //f 4'b_0_0_0_0, 4'b_0_1_1_0, 4'b_0_1_0_0, 4'b_1_1_1_0, 4'b_0_1_0_0, 4'b_0_1_0_0, 4'b_0_1_0_0, 4'b_0_0_0_0 }; reg [9:0] x,y; wire [3:0] t={x[5],x[3],x[6],x[4]}+{y[4],y[5],y[7],y[6]}; wire [8:0] a={t[3:0],y[3:1],x[2:1]}; wire p=c[a]; reg [23:0] rgb; initial begin for(y=0;y<320;y=y+1) begin for(x=0;x<240;x=x+1) begin if(p) rgb<=24'hffffff; else rgb<=0; #1; $display("%h",rgb); #1; end end $finish; end endmodule