library ieee; use ieee.std_logic_1164.all; entity KawiBawiBo is port(A_S, A_R, A_P : in std_logic; B_S, B_R, B_P : in std_logic; Winner : out std_logic_vector(1 downto 0)); end KawiBawiBo; architecture JMJS_Logic of KawiBawiBo is begin process(A_S, A_R, A_P, B_S, B_R, B_P) begin if(A_S = '1') then if(B_S = '1') then Winner <= "11"; elsif(B_R = '1') then Winner <= "10"; elsif(B_P = '1') then Winner <= "01"; else Winner <= "00"; end if; elsif(A_R = '1') then if(B_S = '1') then Winner <= "01"; elsif(B_R = '1') then Winner <= "11"; elsif(B_P = '1') then Winner <= "10"; else Winner <= "00"; end if; elsif(A_P = '1') then if(B_S = '1') then Winner <= "10"; elsif(B_R = '1') then Winner <= "01"; elsif(B_P = '1') then Winner <= "11"; else Winner <= "00"; end if; else Winner <= "00"; end if; end process; end JMJS_Logic;