library ieee; use ieee.std_logic_1164.all; entity concept1 is port (con : in std_logic; data_in1, data_in2 : in std_logic; data_out : out std_logic); end concept1; architecture JMJS_Logic of concept1 is begin process(con, data_in1, data_in2) begin if(con = '1') then data_out <= data_in1; else data_out <= data_in2; end if; end process; end JMJS_Logic;