library ieee; use ieee.std_logic_1164.all; entity concept2 is port (con, data_in : in std_logic; data_out : out std_logic); end concept2; architecture JMJS_Logic of concept2 is begin process(con, data_in) begin if(con = '1') then data_out <= data_in; end if; end process; end JMJS_Logic;