library ieee; use ieee.std_logic_1164.all; entity concept3 is port (clk : in std_logic; con : in std_logic; data_in1, data_in2 : in std_logic; data_out : out std_logic); end concept3; architecture JMJS_Logic of concept3 is begin process(clk) begin if (clk'event and clk='1') then if (con= '1') then data_out <= data_in1; else data_out <= data_in2; end if; end if; end process; end JMJS_Logic;