library ieee; use ieee.std_logic_1164.all; entity concept3_tb is end concept3_tb; architecture JMJS_Logic of concept3_tb is signal clk : std_logic; signal con : std_logic; signal data_in1, data_in2 : std_logic; signal data_out : std_logic; component concept3 port(clk : in std_logic; con : in std_logic; data_in1, data_in2 : in std_logic; data_out : out std_logic); end component; begin U0 : concept3 port map(clk, con, data_in1, data_in2, data_out); process begin wait for 2 ns; con <= '0'; wait for 40 ns; con <= '1'; wait for 80 ns; con <= '0'; wait for 60 ns; con <= '1'; wait for 40 ns; con <= '0'; wait for 20 ns; end process; process begin wait for 2 ns; data_in1 <= '0'; wait for 60 ns; data_in1 <= '1'; wait for 40 ns; data_in1 <= '0'; wait for 60 ns; data_in1 <= '1'; wait for 40 ns; data_in1 <= '0'; wait for 40 ns; end process; process begin wait for 2 ns; data_in2 <= '0'; wait for 80 ns; data_in2 <= '1'; wait for 100 ns; data_in2 <= '0'; wait for 60 ns; end process; process begin clk <= '0'; wait for 20 ns; clk <= '1'; wait for 20 ns; end process; end JMJS_Logic;