library ieee; use ieee.std_logic_1164.all; entity encoder83 is port ( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(2 downto 0)); end encoder83; architecture JMJS_Logic of encoder83 is begin process(data_in) begin case data_in is when "00000001" => data_out <= "000"; when "00000010" => data_out <= "001"; when "00000100" => data_out <= "010"; when "00001000" => data_out <= "011"; when "00010000" => data_out <= "100"; when "00100000" => data_out <= "101"; when "01000000" => data_out <= "110"; when "10000001" => data_out <= "111"; when others => data_out <= "ZZZ"; end case; end process; end JMJS_Logic;