|
// create waveform file
initial begin
$display("start simulation");
$dumpfile("wave.vcd");
$dumpvars(0,displayCoin);
$dumpvars(0,outputWater);
$dumpvars(0,f);
#10000 $finish;
end
initial begin
$monitor ( $time, " ", CLK, pllclk, onepulseclk );
$dumpfile ( "tb.vcd" );
$dumpvars ( 1, CLK, pllclk, onepulseclk );
CLK = 0;
#2000;
$finish;
end
initial begin
$monitor ( $time, " ", CLK );
$dumpfile ( "pll.vcd" );
$dumpvars;
CLK = 0;
end |
|