LogIn E-mail
¼³°èÀ̾߱â
Verdi
# 49 JMJS    10.4.22 09:44

Symbol library ¸¦ verdi »ç¿ëÀ» À§ÇØ mapping ÇÏ´Â ¹æ¹ý

1.±âÁ¸ÀÇ synopsis library ¸¦ novas library ·Î º¯°æ(syn2SymDB –o out_name synopis_library.lib »ç¿ë)
Synopsis library ÀÎ tsmc_sel.lib ¿Í tsmc_mem.lib library ¸¦ Novas library ·Î º¯>°æ
syn2SymDB -o tsmc_sel tsmc_sel.lib
syn2SymDB -o tsmc_mem tsmc_mem.lib
syn2SymDB ·Î »ý¼ºµÈ tsmc_sel.lib++ ¿Í tsmc_mem.lib++ ¸¦ Novas library ·Î »ç¿ëÇÏ>±â À§ÇØ Mapping(tsmc_sel.lib++ ÀÇ °æ·Î´Â /home/test1, tsmc_mem.lib++ ÀÇ °æ·Î´Â /home/test2 ¿¡ ÀÖ´Ù°í °¡Á¤)
setenv NOVAS_LIBPATHS "/home/test1 /home/test2" // library °æ·Î  
setenv NOVAS_LIBS "tsmc_sel tsmc_mem¡±  // Novas library ÀÎ .lib++ ÆÄÀÏÀÇ À̸§¸¸  »ç¿ë

°Ô½Ã¹°: 94 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
96  color text JMJS 24.7.13 1
95  draw_hexa.v JMJS 10.6.17 2194
94  jmjsxram3.v JMJS 10.4.9 1927
93  Verilog document JMJS 11.1.24 2511
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2070
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3539
90  gtkwave PC version JMJS 09.3.30 1875
89  ncsim option example JMJS 08.12.1 4246
88  [¿µ»ó]keywords for web search JMJS 08.12.1 1880
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6200
86  ncverilog option example JMJS 10.6.8 7634
85  [Verilog]Latch example JMJS 08.12.1 2483
84  Pad verilog example JMJS 01.3.16 4398
83  [ModelSim] vector JMJS 01.3.16 2085
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2382
81  [temp]PIPE JMJS 08.10.2 1749
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 1835
79  YCbCr2RGB.v JMJS 10.5.12 2033
78  [VHDL]rom64x8 JMJS 09.3.27 1639
77  [function]vector_compare JMJS 02.6.19 1600
76  [function]vector2integer JMJS 02.6.19 1672
75  [VHDL]ram8x4x8 JMJS 08.12.1 1560
74  [¿¹]shift JMJS 02.6.19 1903
73  test JMJS 09.7.20 1703
72  test JMJS 09.7.20 1498
71  test JMJS 09.7.20 1432
70  test JMJS 09.7.20 1533
69  test JMJS 09.7.20 1563
68  test JMJS 09.7.20 1490
67  test JMJS 09.7.20 1420
66  test JMJS 09.7.20 1369
65  test JMJS 09.7.20 1484
64  test JMJS 09.7.20 1729
63  test JMJS 09.7.20 1724
62  test JMJS 09.7.20 1649
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3437
60  test JMJS 09.7.20 1428
59  test JMJS 09.7.20 1508
58  test JMJS 09.7.20 1502
57  test JMJS 09.7.20 1443
56  test JMJS 09.7.20 1489
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2107
54  [verilog]create_generated_clock JMJS 15.4.28 2083
53  [Verilog]JDIFF JMJS 14.7.4 1357
52  [verilog]parameter definition JMJS 14.3.5 1622
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4579
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2347
49  Verdi JMJS 10.4.22 2975
48  draw hexa JMJS 10.4.9 1699
47  asfifo - Async FIFO JMJS 10.4.8 1526
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3170
45  synplify batch JMJS 10.3.8 2283
44  ÀüÀڽðè Type A JMJS 08.11.28 1792
43  I2C Webpage JMJS 08.2.25 1644
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇغ¸±â (Icarus Verilog) JMJS 13.1.14 5793
41  [Verilog]vstring JMJS 17.9.27 1876
40  Riviera Simple Case JMJS 09.4.29 3017
39  [VHDL]DES Example JMJS 07.6.15 2764
38  [verilog]RAM example JMJS 09.6.5 2545
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 1806
36  Jamie's VHDL Handbook JMJS 08.11.28 2467
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3101
34  RTL Job JMJS 09.4.29 1944
33  [VHDL]type example - package TYPES JMJS 06.2.2 1626
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9151
30  [verilog]array_module JMJS 05.12.8 2056
29  [verilog-2001]generate JMJS 05.12.8 3189
28  protected JMJS 05.11.18 1844
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2649
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1705
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2271
23  Array Of Array JMJS 04.8.16 1800
22  dumpfile, dumpvars JMJS 04.7.19 3417
21  Vending Machine Jamie 02.12.16 9880
20  Mini Vending Machine1 Jamie 02.12.10 6716
19  Mini Vending Machine Jamie 02.12.6 9542
18  Key Jamie 02.11.29 4776
17  Stop Watch Jamie 02.11.25 5497
16  Mealy Machine Jamie 02.8.29 6531
15  Moore Machine Jamie 02.8.29 17650
14  Up Down Counter Jamie 02.8.29 3839
13  Up Counter Jamie 02.8.29 2571
12  Edge Detecter Jamie 02.8.29 2763
11  Concept4 Jamie 02.8.28 1911
10  Concept3 Jamie 02.8.28 1866
9  Concept2_1 Jamie 02.8.28 1749
8  Concept2 Jamie 02.8.28 1824
7  Concept1 Jamie 02.8.26 2027
6  Tri State Buffer Jamie 02.8.26 3335
5  8x3 Encoder Jamie 02.8.28 3933
4  3x8 Decoder Jamie 02.8.28 3601
3  4bit Comparator Jamie 02.8.26 3000
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5356
1  Two Input Logic Jamie 02.8.26 2266
[1]