|
`define TC "1"
`define DD {"../../TV/TV",`TC,"/1_INPUT"}
module abc ();
initial begin
$display("%t INPUT_DB:%5s\n",$time,`DD);
end
endmodule
%cat test.v
`define ABC 1
`define ABC 2 //°°Àº Define 2¹øÇϸé Error³ª Warning, µÚ¿¡ Define¹® °ªÀ¸·Î ġȯµÊ? ȤÀº ¾ÕÀÇ °ª À¯Áö?
...
`ifdef CARBON_MEM
reg [11:0] mem [0:15];
reg [3:0] addrb_q;
always @(posedge clka) if (~wea) mem[addra] <= dina;
always @(posedge clkb) if (~enb) addrb_q <= addrb;
`else
BLKMEMDP_V6_3 #(3,4) inst (.SINITA(dina),SINITB(addrb),.WEB());
`endif
...
%vsim +define+CARBON_MEM ... |
|