LogIn E-mail
¼³°èÀ̾߱â
[temp]PIPE
# 81 JMJS    08.10.2 23:47

module JPIPE ();
parameter LEN=2, WID=2, INIT=0;
input [WID-1:0] din;
output [WID-1:0] dout;
reg [WID-1:0] d[0:LEN-1];
integer i;
always@(posedge clk or negedge rest) begin
   if(! resetn) for(i=0;i<LEN;i=i+1) d[i]<=INIT;
   else begin
      d[0] <= din;
      for(i=0;i<LEN-1;i=i+1) d[i+1]<=d[i];
   end
end

assign dout=d[LEN-1];
endmodule

°Ô½Ã¹°: 96 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
98  interface JMJS 25.1.20 206
97  test plusargs value plusargs JMJS 24.9.5 266
96  color text JMJS 24.7.13 267
95  draw_hexa.v JMJS 10.6.17 2473
94  jmjsxram3.v JMJS 10.4.9 2221
93  Verilog document JMJS 11.1.24 2823
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2410
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3830
90  gtkwave PC version JMJS 09.3.30 2173
89  ncsim option example JMJS 08.12.1 4553
88  [¿µ»ó]keywords for web search JMJS 08.12.1 2181
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6471
86  ncverilog option example JMJS 10.6.8 8026
85  [Verilog]Latch example JMJS 08.12.1 2765
84  Pad verilog example JMJS 01.3.16 4688
83  [ModelSim] vector JMJS 01.3.16 2384
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2668
81  [temp]PIPE JMJS 08.10.2 2030
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 2112
79  YCbCr2RGB.v JMJS 10.5.12 2338
78  [VHDL]rom64x8 JMJS 09.3.27 1914
77  [function]vector_compare JMJS 02.6.19 1846
76  [function]vector2integer JMJS 02.6.19 1949
75  [VHDL]ram8x4x8 JMJS 08.12.1 1814
74  [¿¹]shift JMJS 02.6.19 2197
73  test JMJS 09.7.20 1988
72  test JMJS 09.7.20 1737
71  test JMJS 09.7.20 1702
70  test JMJS 09.7.20 1799
69  test JMJS 09.7.20 1837
68  test JMJS 09.7.20 1783
67  test JMJS 09.7.20 1698
66  test JMJS 09.7.20 1679
65  test JMJS 09.7.20 1781
64  test JMJS 09.7.20 1988
63  test JMJS 09.7.20 2010
62  test JMJS 09.7.20 1922
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3728
60  test JMJS 09.7.20 1671
59  test JMJS 09.7.20 1796
58  test JMJS 09.7.20 1763
57  test JMJS 09.7.20 1726
56  test JMJS 09.7.20 1773
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2346
54  [verilog]create_generated_clock JMJS 15.4.28 2330
53  [Verilog]JDIFF JMJS 14.7.4 1594
52  [verilog]parameter definition JMJS 14.3.5 1881
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4832
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2595
49  Verdi JMJS 10.4.22 3334
48  draw hexa JMJS 10.4.9 1949
47  asfifo - Async FIFO JMJS 10.4.8 1797
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3449
45  synplify batch JMJS 10.3.8 2560
44  ÀüÀڽðè Type A JMJS 08.11.28 2075
43  I2C Webpage JMJS 08.2.25 1919
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇØº¸±â (Icarus Verilog) JMJS 13.1.14 6073
41  [Verilog]vstring JMJS 17.9.27 2152
40  Riviera Simple Case JMJS 09.4.29 3278
39  [VHDL]DES Example JMJS 07.6.15 3048
38  [verilog]RAM example JMJS 09.6.5 2819
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 2099
36  Jamie's VHDL Handbook JMJS 08.11.28 2762
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3390
34  RTL Job JMJS 09.4.29 2229
33  [VHDL]type example - package TYPES JMJS 06.2.2 1877
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9432
30  [verilog]array_module JMJS 05.12.8 2367
29  [verilog-2001]generate JMJS 05.12.8 3458
28  protected JMJS 05.11.18 2128
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2941
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1938
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2552
23  Array Of Array JMJS 04.8.16 2067
22  dumpfile, dumpvars JMJS 04.7.19 3686
21  Vending Machine Jamie 02.12.16 10145
20  Mini Vending Machine1 Jamie 02.12.10 7034
19  Mini Vending Machine Jamie 02.12.6 9891
18  Key Jamie 02.11.29 5048
17  Stop Watch Jamie 02.11.25 5720
16  Mealy Machine Jamie 02.8.29 6805
15  Moore Machine Jamie 02.8.29 18067
14  Up Down Counter Jamie 02.8.29 4143
13  Up Counter Jamie 02.8.29 2832
12  Edge Detecter Jamie 02.8.29 3052
11  Concept4 Jamie 02.8.28 2150
10  Concept3 Jamie 02.8.28 2147
9  Concept2_1 Jamie 02.8.28 2032
8  Concept2 Jamie 02.8.28 2123
7  Concept1 Jamie 02.8.26 2304
6  Tri State Buffer Jamie 02.8.26 3620
5  8x3 Encoder Jamie 02.8.28 4241
4  3x8 Decoder Jamie 02.8.28 3904
3  4bit Comparator Jamie 02.8.26 3286
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5595
1  Two Input Logic Jamie 02.8.26 2529
[1]