LogIn E-mail
¼³°èÀ̾߱â
Up Counter
# 13 Jamie    02.8.29 13:25

1.Spec

up count¸¦ ¼³°èÇØ º¾½Ã´Ù. ÀÔ·Â bit¼ö´Â 12bitÀÔ´Ï´Ù.
½Ã½ºÅÛ clockÀÎ clkÀÇ »ó½Â¿¡¼­ Ä«¿îÅÍÀÇ Ãâ·Â c_out[11:0]ÀÌ '1'¾¿ Áõ°¡ÇÕ´Ï´Ù.
¸¸¾à rst°¡ '1'À̸é Ä«¿îÅÍÀÇ Ãâ·ÂÀº '0'À¸·Î ÃʱâÈ­ µÇ°í, ±×·¸Áö ¾ÊÀº °æ¿ì
Ä«¿îÅ͸¦ ÇÕ´Ï´Ù,
°¡Àå ±âº»ÀûÀÎ countÀ̹ǷΠ½±°Ô ÀÌÇØÇÒ ¼ö ÀÖÀ» °Ì´Ï´Ù.

2.Input/Output



3.Timing



4.Block Diagram



5.RTL Code : up_count.vhd
  Test Vector : up_count_tb.vhd

°Ô½Ã¹°: 93 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
95  draw_hexa.v JMJS 10.6.17 2161
94  jmjsxram3.v JMJS 10.4.9 1899
93  Verilog document JMJS 11.1.24 2476
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2042
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3511
90  gtkwave PC version JMJS 09.3.30 1848
89  ncsim option example JMJS 08.12.1 4217
88  [¿µ»ó]keywords for web search JMJS 08.12.1 1853
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6172
86  ncverilog option example JMJS 10.6.8 7596
85  [Verilog]Latch example JMJS 08.12.1 2458
84  Pad verilog example JMJS 01.3.16 4365
83  [ModelSim] vector JMJS 01.3.16 2059
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2358
81  [temp]PIPE JMJS 08.10.2 1723
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 1810
79  YCbCr2RGB.v JMJS 10.5.12 2007
78  [VHDL]rom64x8 JMJS 09.3.27 1611
77  [function]vector_compare JMJS 02.6.19 1580
76  [function]vector2integer JMJS 02.6.19 1650
75  [VHDL]ram8x4x8 JMJS 08.12.1 1537
74  [¿¹]shift JMJS 02.6.19 1880
73  test JMJS 09.7.20 1673
72  test JMJS 09.7.20 1471
71  test JMJS 09.7.20 1405
70  test JMJS 09.7.20 1511
69  test JMJS 09.7.20 1540
68  test JMJS 09.7.20 1458
67  test JMJS 09.7.20 1389
66  test JMJS 09.7.20 1344
65  test JMJS 09.7.20 1456
64  test JMJS 09.7.20 1707
63  test JMJS 09.7.20 1700
62  test JMJS 09.7.20 1629
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3410
60  test JMJS 09.7.20 1402
59  test JMJS 09.7.20 1476
58  test JMJS 09.7.20 1480
57  test JMJS 09.7.20 1415
56  test JMJS 09.7.20 1466
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2084
54  [verilog]create_generated_clock JMJS 15.4.28 2056
53  [Verilog]JDIFF JMJS 14.7.4 1333
52  [verilog]parameter definition JMJS 14.3.5 1601
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4551
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2319
49  Verdi JMJS 10.4.22 2933
48  draw hexa JMJS 10.4.9 1670
47  asfifo - Async FIFO JMJS 10.4.8 1503
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3141
45  synplify batch JMJS 10.3.8 2260
44  ÀüÀڽðè Type A JMJS 08.11.28 1759
43  I2C Webpage JMJS 08.2.25 1620
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇغ¸±â (Icarus Verilog) JMJS 13.1.14 5764
41  [Verilog]vstring JMJS 17.9.27 1852
40  Riviera Simple Case JMJS 09.4.29 2998
39  [VHDL]DES Example JMJS 07.6.15 2740
38  [verilog]RAM example JMJS 09.6.5 2521
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 1779
36  Jamie's VHDL Handbook JMJS 08.11.28 2435
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3069
34  RTL Job JMJS 09.4.29 1917
33  [VHDL]type example - package TYPES JMJS 06.2.2 1601
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9121
30  [verilog]array_module JMJS 05.12.8 2031
29  [verilog-2001]generate JMJS 05.12.8 3166
28  protected JMJS 05.11.18 1812
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2621
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1687
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2242
23  Array Of Array JMJS 04.8.16 1774
22  dumpfile, dumpvars JMJS 04.7.19 3395
21  Vending Machine Jamie 02.12.16 9851
20  Mini Vending Machine1 Jamie 02.12.10 6694
19  Mini Vending Machine Jamie 02.12.6 9506
18  Key Jamie 02.11.29 4745
17  Stop Watch Jamie 02.11.25 5473
16  Mealy Machine Jamie 02.8.29 6501
15  Moore Machine Jamie 02.8.29 17568
14  Up Down Counter Jamie 02.8.29 3808
13  Up Counter Jamie 02.8.29 2543
12  Edge Detecter Jamie 02.8.29 2738
11  Concept4 Jamie 02.8.28 1884
10  Concept3 Jamie 02.8.28 1840
9  Concept2_1 Jamie 02.8.28 1722
8  Concept2 Jamie 02.8.28 1792
7  Concept1 Jamie 02.8.26 1999
6  Tri State Buffer Jamie 02.8.26 3306
5  8x3 Encoder Jamie 02.8.28 3901
4  3x8 Decoder Jamie 02.8.28 3575
3  4bit Comparator Jamie 02.8.26 2970
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5327
1  Two Input Logic Jamie 02.8.26 2242
[1]