LogIn E-mail
¼³°èÀ̾߱â
draw_hexa.v
# 95 JMJS    10.6.17 21:49

module hexa ();
wire [0:511] c={
                        //0
                        4'b_0_1_0_0,
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_0_1_0_0,
                        4'b_0_0_0_0,
                        //1
                        4'b_0_1_0_0,
                        4'b_1_1_0_0,
                        4'b_0_1_0_0,
                        4'b_0_1_0_0,
                        4'b_0_1_0_0,
                        4'b_0_1_0_0,
                        4'b_1_1_1_0,
                        4'b_0_0_0_0,
                        //2
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_0_0_1_0,
                        4'b_1_1_1_0,
                        4'b_1_0_0_0,
                        4'b_1_0_0_0,
                        4'b_1_1_1_0,
                        4'b_0_0_0_0,
                        //3
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_0_0_1_0,
                        4'b_0_1_1_0,
                        4'b_0_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_0_0_0_0,
                        //4
                        4'b_0_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_0_0,
                        //5
                        4'b_1_1_1_0,
                        4'b_1_0_0_0,
                        4'b_1_0_0_0,
                        4'b_1_1_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_1_1_1_0,
                        4'b_0_0_0_0,
                        //6
                        4'b_1_1_0_0,
                        4'b_1_0_0_0,
                        4'b_1_0_0_0,
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_0_0_0_0,
                        //7
                        4'b_1_1_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_0_0,
                        //8
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_0_0_0_0,
                        //9
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_1_1_1_0,
                        4'b_0_0_0_0,
                        //a
                        4'b_0_1_0_0,
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_0_0_0_0,
                        //b
                        4'b_1_0_0_0,
                        4'b_1_0_0_0,
                        4'b_1_0_0_0,
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_0_0,
                        4'b_0_0_0_0,
                        //c
                        4'b_0_0_0_0,
                        4'b_0_0_0_0,
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_0_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_0_0_0_0,
                        //d
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_0_0_1_0,
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_0_1_0,
                        4'b_0_1_1_0,
                        4'b_0_0_0_0,
                        //e
                        4'b_0_0_0_0,
                        4'b_0_0_0_0,
                        4'b_1_1_1_0,
                        4'b_1_0_1_0,
                        4'b_1_1_1_0,
                        4'b_1_0_0_0,
                        4'b_1_1_1_0,
                        4'b_0_0_0_0,
                        //f
                        4'b_0_0_0_0,
                        4'b_0_1_1_0,
                        4'b_0_1_0_0,
                        4'b_1_1_1_0,
                        4'b_0_1_0_0,
                        4'b_0_1_0_0,
                        4'b_0_1_0_0,
                        4'b_0_0_0_0
};

reg        [9:0]        x,y;
wire        [3:0]        t={x[5],x[3],x[6],x[4]}+{y[4],y[5],y[7],y[6]};
wire        [8:0]        a={t[3:0],y[3:1],x[2:1]};
wire                p=c[a];
reg        [23:0]        rgb;
initial begin
        for(y=0;y<320;y=y+1) begin
        for(x=0;x<240;x=x+1) begin
                if(p) rgb<=24'hffffff; else rgb<=0;
                #1;
                $display("%h",rgb);
                #1;
        end
        end
        $finish;
end

endmodule

÷ºÎÆÄÀÏ: draw_hexa.v
°Ô½Ã¹°: 96 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
98  interface JMJS 25.1.20 143
97  test plusargs value plusargs JMJS 24.9.5 208
96  color text JMJS 24.7.13 214
95  draw_hexa.v JMJS 10.6.17 2412
94  jmjsxram3.v JMJS 10.4.9 2142
93  Verilog document JMJS 11.1.24 2736
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2276
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3759
90  gtkwave PC version JMJS 09.3.30 2077
89  ncsim option example JMJS 08.12.1 4470
88  [¿µ»ó]keywords for web search JMJS 08.12.1 2081
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6412
86  ncverilog option example JMJS 10.6.8 7893
85  [Verilog]Latch example JMJS 08.12.1 2691
84  Pad verilog example JMJS 01.3.16 4612
83  [ModelSim] vector JMJS 01.3.16 2291
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2586
81  [temp]PIPE JMJS 08.10.2 1944
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 2029
79  YCbCr2RGB.v JMJS 10.5.12 2241
78  [VHDL]rom64x8 JMJS 09.3.27 1845
77  [function]vector_compare JMJS 02.6.19 1796
76  [function]vector2integer JMJS 02.6.19 1864
75  [VHDL]ram8x4x8 JMJS 08.12.1 1754
74  [¿¹]shift JMJS 02.6.19 2114
73  test JMJS 09.7.20 1904
72  test JMJS 09.7.20 1691
71  test JMJS 09.7.20 1620
70  test JMJS 09.7.20 1715
69  test JMJS 09.7.20 1763
68  test JMJS 09.7.20 1693
67  test JMJS 09.7.20 1614
66  test JMJS 09.7.20 1568
65  test JMJS 09.7.20 1686
64  test JMJS 09.7.20 1912
63  test JMJS 09.7.20 1920
62  test JMJS 09.7.20 1837
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3638
60  test JMJS 09.7.20 1625
59  test JMJS 09.7.20 1708
58  test JMJS 09.7.20 1687
57  test JMJS 09.7.20 1628
56  test JMJS 09.7.20 1677
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2294
54  [verilog]create_generated_clock JMJS 15.4.28 2283
53  [Verilog]JDIFF JMJS 14.7.4 1549
52  [verilog]parameter definition JMJS 14.3.5 1813
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4772
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2547
49  Verdi JMJS 10.4.22 3222
48  draw hexa JMJS 10.4.9 1891
47  asfifo - Async FIFO JMJS 10.4.8 1712
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3371
45  synplify batch JMJS 10.3.8 2471
44  ÀüÀڽðè Type A JMJS 08.11.28 1985
43  I2C Webpage JMJS 08.2.25 1837
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇØº¸±â (Icarus Verilog) JMJS 13.1.14 5997
41  [Verilog]vstring JMJS 17.9.27 2073
40  Riviera Simple Case JMJS 09.4.29 3207
39  [VHDL]DES Example JMJS 07.6.15 2966
38  [verilog]RAM example JMJS 09.6.5 2731
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 2010
36  Jamie's VHDL Handbook JMJS 08.11.28 2663
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3315
34  RTL Job JMJS 09.4.29 2146
33  [VHDL]type example - package TYPES JMJS 06.2.2 1820
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9349
30  [verilog]array_module JMJS 05.12.8 2286
29  [verilog-2001]generate JMJS 05.12.8 3383
28  protected JMJS 05.11.18 2047
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2857
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1891
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2474
23  Array Of Array JMJS 04.8.16 1983
22  dumpfile, dumpvars JMJS 04.7.19 3601
21  Vending Machine Jamie 02.12.16 10074
20  Mini Vending Machine1 Jamie 02.12.10 6949
19  Mini Vending Machine Jamie 02.12.6 9775
18  Key Jamie 02.11.29 4974
17  Stop Watch Jamie 02.11.25 5676
16  Mealy Machine Jamie 02.8.29 6724
15  Moore Machine Jamie 02.8.29 17948
14  Up Down Counter Jamie 02.8.29 4064
13  Up Counter Jamie 02.8.29 2762
12  Edge Detecter Jamie 02.8.29 2968
11  Concept4 Jamie 02.8.28 2105
10  Concept3 Jamie 02.8.28 2056
9  Concept2_1 Jamie 02.8.28 1941
8  Concept2 Jamie 02.8.28 2012
7  Concept1 Jamie 02.8.26 2235
6  Tri State Buffer Jamie 02.8.26 3536
5  8x3 Encoder Jamie 02.8.28 4150
4  3x8 Decoder Jamie 02.8.28 3828
3  4bit Comparator Jamie 02.8.26 3206
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5548
1  Two Input Logic Jamie 02.8.26 2453
[1]