LogIn E-mail
¼³°èÀ̾߱â
Mini Vending Machine
# 19 Jamie    02.12.6 17:04

1.Spec

   À̹ø¿¡ ¼³°èÇÑ mini vending machineÀº State MachineÀ» »ç¿ëÇÏÁö ¾Ê°í
IF¹®À» »ç¿ëÇÑ ºñ±³Àû °£´ÜÇÑ vending machineÀÔ´Ï´Ù.
   coin(¿©±â¿¡¼­´Â 4°¡Áö Á¾·ùÀÇ coinÀ» »ç¿ëÇÕ´Ï´Ù. 10¿ø, 50¿ø, 100¿ø, 500¿øÂ¥¸®ÀÔ´Ï´Ù.)À»
¹ÞÀ¸¸é coinÀÇ °ªÀÌ ´õÇØÁ®¼­ sum¿¡ ÀúÀåÀ̵ǰí, ¼±ÅùöÆ°À¸·Î menu(coffee, tea, orange_juce)Áß Çϳª¸¦
¼±ÅÃÇϸé, ÇØ´ç menuÀÇ Ãâ·Â ½ÅÈ£(coffee_out, tea_out, orange_jout)°¡ '1'ÀÌ µË´Ï´Ù.
   ¸¸¾à sum¿¡ ÀúÀåµÈ coin°ª¿¡¼­ menu°ªÀ» »« Àܾ×ÀÌ ÀÖ¾î Àܵ· ¹Ýȯ¹öÆ°(change_return)À»
´©¸£¸é sum¿¡ ÀÖ´ø ³²Àº ±Ý¾×ÀÌ change(Àܾ×)·Î Ãâ·ÂµÇ°í sumÀº '0'·Î µË´Ï´Ù.
   ¿©±â¿¡¼­ coinÀÇ °ªÀ» ±×´ë·Î »ç¿ëÇÏÁö ¾Ê°í ÆíÀÇ»ó °¢°¢ 1, 5, 10, 50À¸·Î °è»êÇÏ¿´½À´Ï´Ù.
   sum°ú changeÀÇ data bit¼öµµ ¿øÇÏ´Â °ªÀÇ ¹üÁÖ¸¦ °í·ÁÇÏ¿© Àû´çÈ÷ ¼±ÅÃÇÒ ¼ö ÀÖ½À´Ï´Ù.
   ÀúÈñ design¿¡¼­´Â 8bit·Î Á¤ÀÇÇß½À´Ï´Ù.

2.Input/Output



3.Timing



4.Block Diagram



5.VHDL Code : mini_vending_machine.vhd
  Test Vector : mini_vending_machine_tb.vhd

°Ô½Ã¹°: 95 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
97  test plusargs value plusargs JMJS 24.9.5 26
96  color text JMJS 24.7.13 35
95  draw_hexa.v JMJS 10.6.17 2231
94  jmjsxram3.v JMJS 10.4.9 1958
93  Verilog document JMJS 11.1.24 2546
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2097
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3574
90  gtkwave PC version JMJS 09.3.30 1907
89  ncsim option example JMJS 08.12.1 4278
88  [¿µ»ó]keywords for web search JMJS 08.12.1 1909
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6233
86  ncverilog option example JMJS 10.6.8 7681
85  [Verilog]Latch example JMJS 08.12.1 2514
84  Pad verilog example JMJS 01.3.16 4430
83  [ModelSim] vector JMJS 01.3.16 2118
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2412
81  [temp]PIPE JMJS 08.10.2 1779
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 1865
79  YCbCr2RGB.v JMJS 10.5.12 2061
78  [VHDL]rom64x8 JMJS 09.3.27 1669
77  [function]vector_compare JMJS 02.6.19 1632
76  [function]vector2integer JMJS 02.6.19 1702
75  [VHDL]ram8x4x8 JMJS 08.12.1 1591
74  [¿¹]shift JMJS 02.6.19 1932
73  test JMJS 09.7.20 1742
72  test JMJS 09.7.20 1528
71  test JMJS 09.7.20 1461
70  test JMJS 09.7.20 1558
69  test JMJS 09.7.20 1590
68  test JMJS 09.7.20 1523
67  test JMJS 09.7.20 1449
66  test JMJS 09.7.20 1400
65  test JMJS 09.7.20 1518
64  test JMJS 09.7.20 1758
63  test JMJS 09.7.20 1755
62  test JMJS 09.7.20 1677
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3470
60  test JMJS 09.7.20 1458
59  test JMJS 09.7.20 1543
58  test JMJS 09.7.20 1530
57  test JMJS 09.7.20 1468
56  test JMJS 09.7.20 1518
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2134
54  [verilog]create_generated_clock JMJS 15.4.28 2113
53  [Verilog]JDIFF JMJS 14.7.4 1383
52  [verilog]parameter definition JMJS 14.3.5 1651
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4609
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2377
49  Verdi JMJS 10.4.22 3023
48  draw hexa JMJS 10.4.9 1726
47  asfifo - Async FIFO JMJS 10.4.8 1552
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3201
45  synplify batch JMJS 10.3.8 2309
44  ÀüÀڽðè Type A JMJS 08.11.28 1823
43  I2C Webpage JMJS 08.2.25 1671
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇغ¸±â (Icarus Verilog) JMJS 13.1.14 5822
41  [Verilog]vstring JMJS 17.9.27 1908
40  Riviera Simple Case JMJS 09.4.29 3046
39  [VHDL]DES Example JMJS 07.6.15 2794
38  [verilog]RAM example JMJS 09.6.5 2573
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 1838
36  Jamie's VHDL Handbook JMJS 08.11.28 2504
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3139
34  RTL Job JMJS 09.4.29 1975
33  [VHDL]type example - package TYPES JMJS 06.2.2 1657
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9181
30  [verilog]array_module JMJS 05.12.8 2088
29  [verilog-2001]generate JMJS 05.12.8 3217
28  protected JMJS 05.11.18 1879
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2684
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1734
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2308
23  Array Of Array JMJS 04.8.16 1829
22  dumpfile, dumpvars JMJS 04.7.19 3444
21  Vending Machine Jamie 02.12.16 9912
20  Mini Vending Machine1 Jamie 02.12.10 6775
19  Mini Vending Machine Jamie 02.12.6 9578
18  Key Jamie 02.11.29 4813
17  Stop Watch Jamie 02.11.25 5523
16  Mealy Machine Jamie 02.8.29 6563
15  Moore Machine Jamie 02.8.29 17695
14  Up Down Counter Jamie 02.8.29 3874
13  Up Counter Jamie 02.8.29 2600
12  Edge Detecter Jamie 02.8.29 2794
11  Concept4 Jamie 02.8.28 1940
10  Concept3 Jamie 02.8.28 1896
9  Concept2_1 Jamie 02.8.28 1778
8  Concept2 Jamie 02.8.28 1853
7  Concept1 Jamie 02.8.26 2059
6  Tri State Buffer Jamie 02.8.26 3367
5  8x3 Encoder Jamie 02.8.28 3968
4  3x8 Decoder Jamie 02.8.28 3634
3  4bit Comparator Jamie 02.8.26 3034
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5394
1  Two Input Logic Jamie 02.8.26 2296
[1]