LogIn E-mail
¼³°èÀ̾߱â
Pad verilog example
# 84 JMJS    01.3.16 18:16

module pad_io ( PAD, A, Y, EN );
inout PAD;
input A;
input EN;
output Y;

assign Y = PAD;
assign PAD = ~EN ? A : 1'bz;
endmodule

module pad_io2 ( PAD, A, Y, E );
inout PAD;
input A;
input E;
output Y;

assign Y = PAD;
assign PAD = E ? A : 1'bz;
endmodule

module pad_ot ( PAD, A, EN );
output PAD;
input A;
input EN;

assign PAD = ~EN ? A : 1'bz;
endmodule

module pad_i ( PAD, Y );
input PAD;
output Y;

assign Y = PAD;
endmodule

°Ô½Ã¹°: 93 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
95  draw_hexa.v JMJS 10.6.17 2157
94  jmjsxram3.v JMJS 10.4.9 1893
93  Verilog document JMJS 11.1.24 2473
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2036
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3506
90  gtkwave PC version JMJS 09.3.30 1842
89  ncsim option example JMJS 08.12.1 4211
88  [¿µ»ó]keywords for web search JMJS 08.12.1 1850
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6168
86  ncverilog option example JMJS 10.6.8 7590
85  [Verilog]Latch example JMJS 08.12.1 2453
84  Pad verilog example JMJS 01.3.16 4360
83  [ModelSim] vector JMJS 01.3.16 2055
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2353
81  [temp]PIPE JMJS 08.10.2 1719
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 1806
79  YCbCr2RGB.v JMJS 10.5.12 2003
78  [VHDL]rom64x8 JMJS 09.3.27 1607
77  [function]vector_compare JMJS 02.6.19 1575
76  [function]vector2integer JMJS 02.6.19 1646
75  [VHDL]ram8x4x8 JMJS 08.12.1 1531
74  [¿¹]shift JMJS 02.6.19 1875
73  test JMJS 09.7.20 1667
72  test JMJS 09.7.20 1467
71  test JMJS 09.7.20 1399
70  test JMJS 09.7.20 1507
69  test JMJS 09.7.20 1535
68  test JMJS 09.7.20 1453
67  test JMJS 09.7.20 1384
66  test JMJS 09.7.20 1338
65  test JMJS 09.7.20 1451
64  test JMJS 09.7.20 1702
63  test JMJS 09.7.20 1695
62  test JMJS 09.7.20 1625
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3405
60  test JMJS 09.7.20 1397
59  test JMJS 09.7.20 1471
58  test JMJS 09.7.20 1475
57  test JMJS 09.7.20 1411
56  test JMJS 09.7.20 1462
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2080
54  [verilog]create_generated_clock JMJS 15.4.28 2050
53  [Verilog]JDIFF JMJS 14.7.4 1329
52  [verilog]parameter definition JMJS 14.3.5 1596
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4547
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2314
49  Verdi JMJS 10.4.22 2926
48  draw hexa JMJS 10.4.9 1666
47  asfifo - Async FIFO JMJS 10.4.8 1499
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3136
45  synplify batch JMJS 10.3.8 2256
44  ÀüÀڽðè Type A JMJS 08.11.28 1755
43  I2C Webpage JMJS 08.2.25 1616
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇغ¸±â (Icarus Verilog) JMJS 13.1.14 5759
41  [Verilog]vstring JMJS 17.9.27 1847
40  Riviera Simple Case JMJS 09.4.29 2994
39  [VHDL]DES Example JMJS 07.6.15 2736
38  [verilog]RAM example JMJS 09.6.5 2515
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 1775
36  Jamie's VHDL Handbook JMJS 08.11.28 2431
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3065
34  RTL Job JMJS 09.4.29 1911
33  [VHDL]type example - package TYPES JMJS 06.2.2 1597
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9117
30  [verilog]array_module JMJS 05.12.8 2025
29  [verilog-2001]generate JMJS 05.12.8 3161
28  protected JMJS 05.11.18 1806
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2615
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1682
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2236
23  Array Of Array JMJS 04.8.16 1769
22  dumpfile, dumpvars JMJS 04.7.19 3391
21  Vending Machine Jamie 02.12.16 9847
20  Mini Vending Machine1 Jamie 02.12.10 6688
19  Mini Vending Machine Jamie 02.12.6 9501
18  Key Jamie 02.11.29 4740
17  Stop Watch Jamie 02.11.25 5469
16  Mealy Machine Jamie 02.8.29 6493
15  Moore Machine Jamie 02.8.29 17549
14  Up Down Counter Jamie 02.8.29 3804
13  Up Counter Jamie 02.8.29 2538
12  Edge Detecter Jamie 02.8.29 2732
11  Concept4 Jamie 02.8.28 1880
10  Concept3 Jamie 02.8.28 1833
9  Concept2_1 Jamie 02.8.28 1717
8  Concept2 Jamie 02.8.28 1786
7  Concept1 Jamie 02.8.26 1993
6  Tri State Buffer Jamie 02.8.26 3301
5  8x3 Encoder Jamie 02.8.28 3896
4  3x8 Decoder Jamie 02.8.28 3571
3  4bit Comparator Jamie 02.8.26 2964
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5322
1  Two Input Logic Jamie 02.8.26 2237
[1]