LogIn E-mail
¼³°èÀ̾߱â
[verilog]create_generated_clock
# 54 JMJS    15.4.28 17:29

set target_clock_period_0    10.00
set shrink_ratio         1.00
set clock_period_0    [expr ${target_clock_period_0} * ${shrink_ratio}]

create_clock -name ACLK  -period $clock_period_0 [get_ports ACLK]
create_generated_clock -name ACLK2 -source [get_ports ACLK] -divide_by 2 [get_ports ACLK2]

  Startpoint: DATA0_reg[0]              (rising edge-triggered flip-flop clocked by ACLK)
  Endpoint: DATA2_reg[0]            (rising edge-triggered flip-flop clocked by ACLK)
   --------------------------------------------------------------------------
  clock ACLK (rise edge)                                0.0000     0.0000
  clock network delay (ideal)                           0.0000     0.0000
  DATA0_reg[0]/CK (SDFFRPQ_X1M_A9TL_C34)                0.0000     0.0000 r

  Startpoint: DATA1_reg[0]              (rising edge-triggered flip-flop clocked by ACLK2)
  Endpoint: DATA3_reg[0]            (rising edge-triggered flip-flop clocked by ACLK2)
  --------------------------------------------------------------------------
  clock ACLK2 (rise edge)                               0.0000     0.0000
  clock network delay (ideal)                           0.0000     0.0000
  DATA1_reg[0]/CK (SDFFRPQ_X1M_A9TL_C34)                0.0000     0.0000 r

  Startpoint: DATA4_reg[0]              (rising edge-triggered flip-flop clocked by ACLK)
  Endpoint: oDATA[0]                   (output port clocked by ACLK2)
  --------------------------------------------------------------------------
  clock ACLK (rise edge)                               10.0000    10.0000
  clock network delay (ideal)                           0.0000    10.0000
  DATA4_reg[0]/CK (SDFFRPQ_X1M_A9TL_C34)                0.0000    10.0000 r

create_generated_clock

Create clock

create_clock -name $clock_name -p $clock_period -w [list 0 $half_period] [get_ports $clock_pin]

create_clock -name $clock_name -p $clock_period -w [list 0 $half_period] [get_pins $clock_pin]

create_generated_clock -name $clock_name -source [get_ports $mclock_name] -divided_by $div [get_pins $clock_pin]

create_generated_clock -name $clock_name -source [get_pins $mclock_name] -divided_by $div [get_pins $clock_pin]


#Constrain the base clock

create_clock -add -period 10.000 \
-waveform { 0.000 5.000 } \
-name clock_name \
[get_ports clock]

#Constrain the output clock clock

create_generated_clock -add -source PLL_inst|inclk[0] \
-name PLL_inst|clk[1] \
-multiply_by 2 \
-master_clock clock_name \
[get_pins PLL_inst|clk[1]]

# Create a virtual clock to describe the data clock in
# the external device
create_clock -name virt_clk -period 10

# Create a base clock on the input port of the FPGA, with a 10 ns period
create_clock -name input_clock -period 10 [get_ports clk_in]

# Create generated clocks on the PLL outputs
create_generated_clock -name data_clock -source [get_pins pll|inclk[0]] \
-phase 90 [get_pins pll|clk[0]]

# Add maximum and minimum input delay constraints
# assuming a skew requirement of +/- 250ps
# Use the equations for the input delay values listed above
set_input_delay -max -clock virt_clk 0.250 [get_ports data_in*]
set_input_delay -min -clock virt_clk -0.250 [get_ports data_in*]
set_input_delay -max -clock virt_clk -clock_fall \
0.250 [get_ports data_in*] -add
set_input_delay -min -clock virt_clk -clock_fall \
-0.250 [get_ports data_in*] -add

# Add false path exceptions for cross-clock transfers
set_false_path -setup -end -rise_from [get_clocks virt_clk] \
-fall_to [get_clocks data_clock]
set_false_path -setup -end -fall_from [get_clocks virt_clk] \
-rise_to [get_clocks data_clock]
set_false_path -hold -end -rise_from [get_clocks virt_clk] \
-rise_to [get_clocks data_clock]
set_false_path -hold -end -fall_from [get_clocks virt_clk] \
-fall_to [get_clocks data_clock]

÷ºÎÆÄÀÏ: SYN_CG_tar.gz
°Ô½Ã¹°: 93 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
95  draw_hexa.v JMJS 10.6.17 2158
94  jmjsxram3.v JMJS 10.4.9 1894
93  Verilog document JMJS 11.1.24 2474
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2037
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3507
90  gtkwave PC version JMJS 09.3.30 1844
89  ncsim option example JMJS 08.12.1 4212
88  [¿µ»ó]keywords for web search JMJS 08.12.1 1851
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6169
86  ncverilog option example JMJS 10.6.8 7591
85  [Verilog]Latch example JMJS 08.12.1 2454
84  Pad verilog example JMJS 01.3.16 4361
83  [ModelSim] vector JMJS 01.3.16 2056
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2354
81  [temp]PIPE JMJS 08.10.2 1720
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 1807
79  YCbCr2RGB.v JMJS 10.5.12 2004
78  [VHDL]rom64x8 JMJS 09.3.27 1608
77  [function]vector_compare JMJS 02.6.19 1576
76  [function]vector2integer JMJS 02.6.19 1647
75  [VHDL]ram8x4x8 JMJS 08.12.1 1532
74  [¿¹]shift JMJS 02.6.19 1877
73  test JMJS 09.7.20 1669
72  test JMJS 09.7.20 1468
71  test JMJS 09.7.20 1400
70  test JMJS 09.7.20 1508
69  test JMJS 09.7.20 1537
68  test JMJS 09.7.20 1454
67  test JMJS 09.7.20 1385
66  test JMJS 09.7.20 1340
65  test JMJS 09.7.20 1453
64  test JMJS 09.7.20 1704
63  test JMJS 09.7.20 1696
62  test JMJS 09.7.20 1626
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3406
60  test JMJS 09.7.20 1398
59  test JMJS 09.7.20 1472
58  test JMJS 09.7.20 1476
57  test JMJS 09.7.20 1412
56  test JMJS 09.7.20 1463
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2081
54  [verilog]create_generated_clock JMJS 15.4.28 2052
53  [Verilog]JDIFF JMJS 14.7.4 1330
52  [verilog]parameter definition JMJS 14.3.5 1597
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4548
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2315
49  Verdi JMJS 10.4.22 2927
48  draw hexa JMJS 10.4.9 1667
47  asfifo - Async FIFO JMJS 10.4.8 1500
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3137
45  synplify batch JMJS 10.3.8 2257
44  ÀüÀڽðè Type A JMJS 08.11.28 1756
43  I2C Webpage JMJS 08.2.25 1617
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇغ¸±â (Icarus Verilog) JMJS 13.1.14 5760
41  [Verilog]vstring JMJS 17.9.27 1849
40  Riviera Simple Case JMJS 09.4.29 2995
39  [VHDL]DES Example JMJS 07.6.15 2737
38  [verilog]RAM example JMJS 09.6.5 2516
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 1776
36  Jamie's VHDL Handbook JMJS 08.11.28 2432
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3066
34  RTL Job JMJS 09.4.29 1913
33  [VHDL]type example - package TYPES JMJS 06.2.2 1598
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9118
30  [verilog]array_module JMJS 05.12.8 2026
29  [verilog-2001]generate JMJS 05.12.8 3162
28  protected JMJS 05.11.18 1807
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2617
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1683
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2238
23  Array Of Array JMJS 04.8.16 1770
22  dumpfile, dumpvars JMJS 04.7.19 3392
21  Vending Machine Jamie 02.12.16 9848
20  Mini Vending Machine1 Jamie 02.12.10 6690
19  Mini Vending Machine Jamie 02.12.6 9502
18  Key Jamie 02.11.29 4741
17  Stop Watch Jamie 02.11.25 5470
16  Mealy Machine Jamie 02.8.29 6494
15  Moore Machine Jamie 02.8.29 17551
14  Up Down Counter Jamie 02.8.29 3805
13  Up Counter Jamie 02.8.29 2539
12  Edge Detecter Jamie 02.8.29 2733
11  Concept4 Jamie 02.8.28 1881
10  Concept3 Jamie 02.8.28 1835
9  Concept2_1 Jamie 02.8.28 1718
8  Concept2 Jamie 02.8.28 1787
7  Concept1 Jamie 02.8.26 1995
6  Tri State Buffer Jamie 02.8.26 3302
5  8x3 Encoder Jamie 02.8.28 3897
4  3x8 Decoder Jamie 02.8.28 3572
3  4bit Comparator Jamie 02.8.26 2965
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5323
1  Two Input Logic Jamie 02.8.26 2238
[1]