LogIn E-mail
¼³°èÀ̾߱â
8x3 Encoder
# 5 Jamie    02.8.28 15:46

1.Spec

ÀÚ, ¿À´ÃÀº Encoder¿¡ ´ëÇØ ¾Ë¾Æº¸°Ú½À´Ï´Ù.
Encoder´Â º°°³ÀÇ data¸¦ codeedµÈ ÇüÅ·Π¹Ù²Ù±âÀ§ÇØ »ç¿ëµË´Ï´Ù.
½±°Ô ¼³¸íÇϸé 2**nÀÇ input lineÀ» °¡Áö°í ÀÖ´Â encoder´Â input data¸¦ encodeÇؼ­
n encoded output lineÀ» ¸¸µé¾î ³À´Ï´Ù.
case¹®À» ÀÌ¿ëÇؼ­ modelingÀ» ÇÏ°í, default "don't care" value¸¦ »ç¿ëÇؾßÇÕ´Ï´Ù.
±×·³, 8*3 encoder¸¦ designÇØ º¸°Ú½À´Ï´Ù.

2.Input/Output



3.RTL Code : encoder83.vhd
  Test Vector : encoder83_tb.vhd

°Ô½Ã¹°: 93 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
95  draw_hexa.v JMJS 10.6.17 2169
94  jmjsxram3.v JMJS 10.4.9 1903
93  Verilog document JMJS 11.1.24 2484
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2045
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3516
90  gtkwave PC version JMJS 09.3.30 1854
89  ncsim option example JMJS 08.12.1 4220
88  [¿µ»ó]keywords for web search JMJS 08.12.1 1857
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6177
86  ncverilog option example JMJS 10.6.8 7603
85  [Verilog]Latch example JMJS 08.12.1 2461
84  Pad verilog example JMJS 01.3.16 4371
83  [ModelSim] vector JMJS 01.3.16 2064
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2361
81  [temp]PIPE JMJS 08.10.2 1728
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 1815
79  YCbCr2RGB.v JMJS 10.5.12 2012
78  [VHDL]rom64x8 JMJS 09.3.27 1615
77  [function]vector_compare JMJS 02.6.19 1582
76  [function]vector2integer JMJS 02.6.19 1653
75  [VHDL]ram8x4x8 JMJS 08.12.1 1539
74  [¿¹]shift JMJS 02.6.19 1882
73  test JMJS 09.7.20 1678
72  test JMJS 09.7.20 1474
71  test JMJS 09.7.20 1407
70  test JMJS 09.7.20 1515
69  test JMJS 09.7.20 1543
68  test JMJS 09.7.20 1462
67  test JMJS 09.7.20 1392
66  test JMJS 09.7.20 1347
65  test JMJS 09.7.20 1461
64  test JMJS 09.7.20 1711
63  test JMJS 09.7.20 1704
62  test JMJS 09.7.20 1632
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3414
60  test JMJS 09.7.20 1406
59  test JMJS 09.7.20 1478
58  test JMJS 09.7.20 1483
57  test JMJS 09.7.20 1419
56  test JMJS 09.7.20 1469
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2087
54  [verilog]create_generated_clock JMJS 15.4.28 2059
53  [Verilog]JDIFF JMJS 14.7.4 1338
52  [verilog]parameter definition JMJS 14.3.5 1604
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4558
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2324
49  Verdi JMJS 10.4.22 2942
48  draw hexa JMJS 10.4.9 1678
47  asfifo - Async FIFO JMJS 10.4.8 1508
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3144
45  synplify batch JMJS 10.3.8 2264
44  ÀüÀڽðè Type A JMJS 08.11.28 1766
43  I2C Webpage JMJS 08.2.25 1624
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇغ¸±â (Icarus Verilog) JMJS 13.1.14 5770
41  [Verilog]vstring JMJS 17.9.27 1855
40  Riviera Simple Case JMJS 09.4.29 3000
39  [VHDL]DES Example JMJS 07.6.15 2744
38  [verilog]RAM example JMJS 09.6.5 2523
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 1785
36  Jamie's VHDL Handbook JMJS 08.11.28 2441
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3077
34  RTL Job JMJS 09.4.29 1922
33  [VHDL]type example - package TYPES JMJS 06.2.2 1604
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9128
30  [verilog]array_module JMJS 05.12.8 2036
29  [verilog-2001]generate JMJS 05.12.8 3168
28  protected JMJS 05.11.18 1817
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2627
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1690
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2246
23  Array Of Array JMJS 04.8.16 1779
22  dumpfile, dumpvars JMJS 04.7.19 3399
21  Vending Machine Jamie 02.12.16 9859
20  Mini Vending Machine1 Jamie 02.12.10 6698
19  Mini Vending Machine Jamie 02.12.6 9514
18  Key Jamie 02.11.29 4748
17  Stop Watch Jamie 02.11.25 5478
16  Mealy Machine Jamie 02.8.29 6508
15  Moore Machine Jamie 02.8.29 17591
14  Up Down Counter Jamie 02.8.29 3816
13  Up Counter Jamie 02.8.29 2548
12  Edge Detecter Jamie 02.8.29 2743
11  Concept4 Jamie 02.8.28 1892
10  Concept3 Jamie 02.8.28 1844
9  Concept2_1 Jamie 02.8.28 1728
8  Concept2 Jamie 02.8.28 1800
7  Concept1 Jamie 02.8.26 2003
6  Tri State Buffer Jamie 02.8.26 3312
5  8x3 Encoder Jamie 02.8.28 3908
4  3x8 Decoder Jamie 02.8.28 3581
3  4bit Comparator Jamie 02.8.26 2977
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5331
1  Two Input Logic Jamie 02.8.26 2248
[1]