LogIn E-mail
¼³°èÀ̾߱â
PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇØº¸±â (Icarus Verilog)
# 42 JMJS    13.1.14 10:41

http://bleyer.org/icarus/

-----------------------
PC Icarus Verilog programÀº ´ÙÀ½ directory¿¡¼­ ±¸ÇÒ ¼ö ÀÖ½À´Ï´Ù.
ftp://ftp.icarus.com/pub/eda/verilog/v0.8/Windows/

WaveformÀ» º¸±â À§ÇÑ gtkwave´Â ´ÙÀ½ page¿¡¼­ gtkwave-current_w9x.zip link¸¦ Ŭ¸¯ÇϽðųª:
http://linux-workshop.com/bybell/ver/wave/gtkwave9x.html

http://linux-workshop.com/bybell/ver/gtkwave-current_w9x.zip
À» ¹Ù·Î download ÇÏ½Ã¸é µË´Ï´Ù.

1. iverilog-0.8-setup.exe¸¦ ½ÇÇà½Ãŵ´Ï´Ù. (directory¸¦ c:\iverilog·Î)
2. PC¿¡¼­ path¿¡ c:\iverilog\binÀ» Ãß°¡ÇØ ÁÝ´Ï´Ù.
3. gtkwave-current_w9x.zip¸¦ Àû´çÇÑ °÷¿¡ Ç®¾îÁÝ´Ï´Ù.
4. gtkwave directory¾È¿¡ ÀÖ´Â dll directory¾È¿¡ ÀÖ´Â dllÆÄÀϵéÀ»
   c:\windows\system directory¾È¿¡ copyÇØ ÁÝ´Ï´Ù.
5. gtkwave.exe¿Í gtkwave.ini ÆÄÀÏÀ» c:\iverilog\bin¾È¿¡ copyÇØ ÁÝ´Ï´Ù.
6. gtkwave zipÀ» Ç®¾ú´ø directory´Â ´õÀÌ»ó ÇÊ¿ä¾øÀ¸¹Ç·Î Áö¿ì¼Åµµ µË´Ï´Ù.
7. Àû´çÇÑ °÷¿¡ work directory¸¦ ¸¸µé¾îÁÝ´Ï´Ù. d:\work
8. ÷ºÎÇÑ abc.v¸¦ d:\work ¾È¿¡ copyÇØ ³õ½À´Ï´Ù.
9. dosâÀ» ¿­¾î d:\work·Î °©´Ï´Ù. cd D:\work
10. %iverilog abc.v
11. %vvp a.out
12. %gtkwave abc.vcd
13. gtkwave menu¿¡¼­ Search ¹Ø¿¡ Signal Search Tree¸¦ ¼±ÅÃÇØ ÁÝ´Ï´Ù.

÷ºÎÆÄÀÏ: abc.v hello.v verilog-0.9.1-0.src.rpm
°Ô½Ã¹°: 96 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
98  interface JMJS 25.1.20 218
97  test plusargs value plusargs JMJS 24.9.5 275
96  color text JMJS 24.7.13 278
95  draw_hexa.v JMJS 10.6.17 2483
94  jmjsxram3.v JMJS 10.4.9 2234
93  Verilog document JMJS 11.1.24 2841
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2430
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3849
90  gtkwave PC version JMJS 09.3.30 2195
89  ncsim option example JMJS 08.12.1 4573
88  [¿µ»ó]keywords for web search JMJS 08.12.1 2205
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6478
86  ncverilog option example JMJS 10.6.8 8046
85  [Verilog]Latch example JMJS 08.12.1 2789
84  Pad verilog example JMJS 01.3.16 4708
83  [ModelSim] vector JMJS 01.3.16 2403
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2689
81  [temp]PIPE JMJS 08.10.2 2045
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 2140
79  YCbCr2RGB.v JMJS 10.5.12 2348
78  [VHDL]rom64x8 JMJS 09.3.27 1929
77  [function]vector_compare JMJS 02.6.19 1853
76  [function]vector2integer JMJS 02.6.19 1965
75  [VHDL]ram8x4x8 JMJS 08.12.1 1824
74  [¿¹]shift JMJS 02.6.19 2215
73  test JMJS 09.7.20 2009
72  test JMJS 09.7.20 1744
71  test JMJS 09.7.20 1718
70  test JMJS 09.7.20 1816
69  test JMJS 09.7.20 1860
68  test JMJS 09.7.20 1804
67  test JMJS 09.7.20 1714
66  test JMJS 09.7.20 1700
65  test JMJS 09.7.20 1795
64  test JMJS 09.7.20 2007
63  test JMJS 09.7.20 2029
62  test JMJS 09.7.20 1950
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3749
60  test JMJS 09.7.20 1676
59  test JMJS 09.7.20 1817
58  test JMJS 09.7.20 1789
57  test JMJS 09.7.20 1746
56  test JMJS 09.7.20 1791
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2361
54  [verilog]create_generated_clock JMJS 15.4.28 2337
53  [Verilog]JDIFF JMJS 14.7.4 1602
52  [verilog]parameter definition JMJS 14.3.5 1895
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4849
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2602
49  Verdi JMJS 10.4.22 3356
48  draw hexa JMJS 10.4.9 1959
47  asfifo - Async FIFO JMJS 10.4.8 1814
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3471
45  synplify batch JMJS 10.3.8 2576
44  ÀüÀڽðè Type A JMJS 08.11.28 2092
43  I2C Webpage JMJS 08.2.25 1941
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇØº¸±â (Icarus Verilog) JMJS 13.1.14 6091
41  [Verilog]vstring JMJS 17.9.27 2168
40  Riviera Simple Case JMJS 09.4.29 3285
39  [VHDL]DES Example JMJS 07.6.15 3076
38  [verilog]RAM example JMJS 09.6.5 2838
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 2116
36  Jamie's VHDL Handbook JMJS 08.11.28 2781
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3406
34  RTL Job JMJS 09.4.29 2246
33  [VHDL]type example - package TYPES JMJS 06.2.2 1887
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9450
30  [verilog]array_module JMJS 05.12.8 2385
29  [verilog-2001]generate JMJS 05.12.8 3476
28  protected JMJS 05.11.18 2148
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2954
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1945
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2569
23  Array Of Array JMJS 04.8.16 2086
22  dumpfile, dumpvars JMJS 04.7.19 3707
21  Vending Machine Jamie 02.12.16 10164
20  Mini Vending Machine1 Jamie 02.12.10 7052
19  Mini Vending Machine Jamie 02.12.6 9904
18  Key Jamie 02.11.29 5062
17  Stop Watch Jamie 02.11.25 5729
16  Mealy Machine Jamie 02.8.29 6816
15  Moore Machine Jamie 02.8.29 18091
14  Up Down Counter Jamie 02.8.29 4158
13  Up Counter Jamie 02.8.29 2847
12  Edge Detecter Jamie 02.8.29 3069
11  Concept4 Jamie 02.8.28 2155
10  Concept3 Jamie 02.8.28 2164
9  Concept2_1 Jamie 02.8.28 2049
8  Concept2 Jamie 02.8.28 2141
7  Concept1 Jamie 02.8.26 2310
6  Tri State Buffer Jamie 02.8.26 3643
5  8x3 Encoder Jamie 02.8.28 4259
4  3x8 Decoder Jamie 02.8.28 3917
3  4bit Comparator Jamie 02.8.26 3304
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5603
1  Two Input Logic Jamie 02.8.26 2545
[1]