LogIn E-mail
¼³°èÀ̾߱â
PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇغ¸±â (Icarus Verilog)
# 42 JMJS    13.1.14 10:41

http://bleyer.org/icarus/

-----------------------
PC Icarus Verilog programÀº ´ÙÀ½ directory¿¡¼­ ±¸ÇÒ ¼ö ÀÖ½À´Ï´Ù.
ftp://ftp.icarus.com/pub/eda/verilog/v0.8/Windows/

WaveformÀ» º¸±â À§ÇÑ gtkwave´Â ´ÙÀ½ page¿¡¼­ gtkwave-current_w9x.zip link¸¦ Ŭ¸¯ÇϽðųª:
http://linux-workshop.com/bybell/ver/wave/gtkwave9x.html

http://linux-workshop.com/bybell/ver/gtkwave-current_w9x.zip
À» ¹Ù·Î download ÇÏ½Ã¸é µË´Ï´Ù.

1. iverilog-0.8-setup.exe¸¦ ½ÇÇà½Ãŵ´Ï´Ù. (directory¸¦ c:\iverilog·Î)
2. PC¿¡¼­ path¿¡ c:\iverilog\binÀ» Ãß°¡ÇØ ÁÝ´Ï´Ù.
3. gtkwave-current_w9x.zip¸¦ Àû´çÇÑ °÷¿¡ Ç®¾îÁÝ´Ï´Ù.
4. gtkwave directory¾È¿¡ ÀÖ´Â dll directory¾È¿¡ ÀÖ´Â dllÆÄÀϵéÀ»
   c:\windows\system directory¾È¿¡ copyÇØ ÁÝ´Ï´Ù.
5. gtkwave.exe¿Í gtkwave.ini ÆÄÀÏÀ» c:\iverilog\bin¾È¿¡ copyÇØ ÁÝ´Ï´Ù.
6. gtkwave zipÀ» Ç®¾ú´ø directory´Â ´õÀÌ»ó ÇÊ¿ä¾øÀ¸¹Ç·Î Áö¿ì¼Åµµ µË´Ï´Ù.
7. Àû´çÇÑ °÷¿¡ work directory¸¦ ¸¸µé¾îÁÝ´Ï´Ù. d:\work
8. ÷ºÎÇÑ abc.v¸¦ d:\work ¾È¿¡ copyÇØ ³õ½À´Ï´Ù.
9. dosâÀ» ¿­¾î d:\work·Î °©´Ï´Ù. cd D:\work
10. %iverilog abc.v
11. %vvp a.out
12. %gtkwave abc.vcd
13. gtkwave menu¿¡¼­ Search ¹Ø¿¡ Signal Search Tree¸¦ ¼±ÅÃÇØ ÁÝ´Ï´Ù.

÷ºÎÆÄÀÏ: abc.v hello.v verilog-0.9.1-0.src.rpm
°Ô½Ã¹°: 93 °Ç, ÇöÀç: 1 / 1 ÂÊ
¹øÈ£ Á¦       ¸ñ ÀÛ¼ºÀÚ µî·ÏÀÏ ¹æ¹®
95  draw_hexa.v JMJS 10.6.17 2168
94  jmjsxram3.v JMJS 10.4.9 1902
93  Verilog document JMJS 11.1.24 2483
92  [verilog]o=(c1)? (c2)? 0:1 : (c3)? 2:3; JMJS 09.3.31 2044
91  [verilog]forever, repeat, strobe, realtime, ... JMJS 09.7.6 3515
90  gtkwave PC version JMJS 09.3.30 1853
89  ncsim option example JMJS 08.12.1 4219
88  [¿µ»ó]keywords for web search JMJS 08.12.1 1856
87  [Verilog]fdisplay fopen fscanf JMJS 11.1.24 6176
86  ncverilog option example JMJS 10.6.8 7601
85  [Verilog]Latch example JMJS 08.12.1 2460
84  Pad verilog example JMJS 01.3.16 4370
83  [ModelSim] vector JMJS 01.3.16 2063
82  RTL Code ºÐ¼®¼ø¼­ JMJS 09.4.29 2360
81  [temp]PIPE JMJS 08.10.2 1727
80  [temp]always-forever ¹«ÇÑ·çÇÁ JMJS 08.10.2 1814
79  YCbCr2RGB.v JMJS 10.5.12 2011
78  [VHDL]rom64x8 JMJS 09.3.27 1614
77  [function]vector_compare JMJS 02.6.19 1581
76  [function]vector2integer JMJS 02.6.19 1652
75  [VHDL]ram8x4x8 JMJS 08.12.1 1538
74  [¿¹]shift JMJS 02.6.19 1881
73  test JMJS 09.7.20 1677
72  test JMJS 09.7.20 1473
71  test JMJS 09.7.20 1406
70  test JMJS 09.7.20 1514
69  test JMJS 09.7.20 1542
68  test JMJS 09.7.20 1461
67  test JMJS 09.7.20 1391
66  test JMJS 09.7.20 1346
65  test JMJS 09.7.20 1460
64  test JMJS 09.7.20 1710
63  test JMJS 09.7.20 1703
62  test JMJS 09.7.20 1631
61  VHDLÀÇ ¿¬»êÀÚ ¿ì¼±¼øÀ§ JMJS 09.7.20 3413
60  test JMJS 09.7.20 1405
59  test JMJS 09.7.20 1477
58  test JMJS 09.7.20 1482
57  test JMJS 09.7.20 1417
56  test JMJS 09.7.20 1468
55  verilog Çаú »ùÇð­ÀÇ JMJS 16.5.30 2086
54  [verilog]create_generated_clock JMJS 15.4.28 2058
53  [Verilog]JDIFF JMJS 14.7.4 1337
52  [verilog]parameter definition JMJS 14.3.5 1603
51  [verilog]sformat fopen fscanf fwrite fclose JMJS 12.1.31 4557
50  Verilog File I/0,Verilog file handling JMJS 12.1.30 2323
49  Verdi JMJS 10.4.22 2941
48  draw hexa JMJS 10.4.9 1677
47  asfifo - Async FIFO JMJS 10.4.8 1507
46  VHDLÀ» ÀÌ¿ëÇÑ È¸·Î¼³°èÀÇ ÀåÁ¡ JMJS 02.3.14 3143
45  synplify batch JMJS 10.3.8 2263
44  ÀüÀڽðè Type A JMJS 08.11.28 1765
43  I2C Webpage JMJS 08.2.25 1623
42  PC¿¡¼­ °£´ÜÈ÷ Verilog ½ÇÇàÇغ¸±â (Icarus Verilog) JMJS 13.1.14 5769
41  [Verilog]vstring JMJS 17.9.27 1854
40  Riviera Simple Case JMJS 09.4.29 2999
39  [VHDL]DES Example JMJS 07.6.15 2743
38  [verilog]RAM example JMJS 09.6.5 2522
37  ROM example [VerilogHDL, RTL] JMJS 04.5.27 1784
36  Jamie's VHDL Handbook JMJS 08.11.28 2440
35  Dualport RAM example [VerilogHDL, RTL] JMJS 04.5.27 3076
34  RTL Job JMJS 09.4.29 1921
33  [VHDL]type example - package TYPES JMJS 06.2.2 1603
32  [verilog]`define `ifdef `elsif `else `endif ... JMJS 10.5.11 9127
30  [verilog]array_module JMJS 05.12.8 2035
29  [verilog-2001]generate JMJS 05.12.8 3167
28  protected JMJS 05.11.18 1816
27  design¿¡ latch°¡ ÀÖÀ¸¸é ¾ÈµÇ³ª¿ä? JMJS 09.7.20 2626
26  busÀÇ µ¥ÀÌŸ¸¦ °¢ bitº°·Î Ãâ·ÂÇÏ´Â ¹æ¹ýÀº? JMJS 04.11.9 1689
25  component¸¦ »ý¼ºÇؼ­ ´Ù¸¥ °÷¿¡¼­ È£ÃâÇÏ´Â ¹æ¹ý JMJS 04.11.4 2245
23  Array Of Array JMJS 04.8.16 1778
22  dumpfile, dumpvars JMJS 04.7.19 3398
21  Vending Machine Jamie 02.12.16 9858
20  Mini Vending Machine1 Jamie 02.12.10 6697
19  Mini Vending Machine Jamie 02.12.6 9512
18  Key Jamie 02.11.29 4747
17  Stop Watch Jamie 02.11.25 5477
16  Mealy Machine Jamie 02.8.29 6505
15  Moore Machine Jamie 02.8.29 17586
14  Up Down Counter Jamie 02.8.29 3815
13  Up Counter Jamie 02.8.29 2547
12  Edge Detecter Jamie 02.8.29 2742
11  Concept4 Jamie 02.8.28 1891
10  Concept3 Jamie 02.8.28 1843
9  Concept2_1 Jamie 02.8.28 1727
8  Concept2 Jamie 02.8.28 1799
7  Concept1 Jamie 02.8.26 2002
6  Tri State Buffer Jamie 02.8.26 3311
5  8x3 Encoder Jamie 02.8.28 3906
4  3x8 Decoder Jamie 02.8.28 3580
3  4bit Comparator Jamie 02.8.26 2976
2  °¡À§ ¹ÙÀ§ º¸ °ÔÀÓ Jamie 02.8.26 5330
1  Two Input Logic Jamie 02.8.26 2247
[1]